Search
46989-cleanroom-assembly

Our products

The semiconductor industry is driven by affordable scaling, which is powered by ASML’s holistic lithography product portfolio

We provide our customers with everything they need to mass produce patterns on silicon, allowing them to increase the value and lower the cost of a chip. Our holistic approach is based on the innovative integration of lithography systems, computational lithography software and metrology and inspection products.

EUV lithography systems  

Our extreme ultraviolet (EUV) lithography systems provide the highest resolution in high-volume manufacturing. By enabling chipmakers to squeeze even more transistors onto a single chip, the state-of-the-art technology – which is unique to ASML – is pushing Moore’s Law forward.

DUV lithography systems 

Deep ultraviolet (DUV) lithography systems are the cornerstone of the semiconductor industry. The dry and immersion DUV systems in our portfolio are used for high-volume manufacturing of the most advanced Logic and Memory chips, novel chip innovation and everything in between.

TWINSCAN NXT2100i

Refurbished systems

Almost every lithography system that we’ve ever shipped is still in use at a customer fab. We refurbish ‘classic’ PAS 5500 and TWINSCAN lithography systems for a new life and a new purpose.

ASML refurbished system PAS 5500/1150C

Metrology & inspection systems

Delivering speed and accuracy, our metrology and inspection portfolio covers every step manufacturing processes, from R&D to mass production.

NXE3400 metrology

Computational lithography

ASML is pioneering this industry-leading technique, which minimizes the physical and chemical effects that interfere with the quality of a chip.

47149-lithography-elements-wafer-reticle

Customer support

At ASML, the customer always comes first. With around 10,000 customer support employees, including service engineers and applications specialists, we make sure our systems in our customers’ fabs are running smoothly.

System performance engineer